• Viernes 19 de Abril de 2024, 03:04

Autor Tema:  conversor paralelo serie  (Leído 2184 veces)

togym

  • Nuevo Miembro
  • *
  • Mensajes: 1
    • Ver Perfil
conversor paralelo serie
« en: Sábado 28 de Noviembre de 2009, 16:50 »
0
Hola, estoy haciendo un conversor paralelo serie, y me da el siguiente error a la hora de sintetizarlo:

Xst:1534 - Sequential logic for node <auxiliar> appears to be controlled by multiple clocks.
ERROR:Xst:739 - Failed to synthesize logic for signal <auxiliar>.
ERROR:Xst:1431 - Failed to synthesize unit <par2serie>.

La variable auxiliar es un std_logic_vector (7 downto 0)

Alguien sabe porque puede ser??

Gracias

Geo

  • Miembro de PLATA
  • *****
  • Mensajes: 1011
  • Nacionalidad: mx
    • Ver Perfil
    • http://hsblog.mexchip.com
Re: conversor paralelo serie
« Respuesta #1 en: Martes 2 de Febrero de 2010, 05:54 »
0
Una recomendación: coloca el código para poder entender el problema.
La imaginación es el límite.
Hardware & Software | Mexchip