SoloCodigo

Programación Específica => Otros temas específicos => Lenguaje de Descripción de Hardware VHDL => Mensaje iniciado por: togym en Sábado 28 de Noviembre de 2009, 16:50

Título: conversor paralelo serie
Publicado por: togym en Sábado 28 de Noviembre de 2009, 16:50
Hola, estoy haciendo un conversor paralelo serie, y me da el siguiente error a la hora de sintetizarlo:

Xst:1534 - Sequential logic for node <auxiliar> appears to be controlled by multiple clocks.
ERROR:Xst:739 - Failed to synthesize logic for signal <auxiliar>.
ERROR:Xst:1431 - Failed to synthesize unit <par2serie>.

La variable auxiliar es un std_logic_vector (7 downto 0)

Alguien sabe porque puede ser??

Gracias
Título: Re: conversor paralelo serie
Publicado por: Geo en Martes 2 de Febrero de 2010, 05:54
Una recomendación: coloca el código para poder entender el problema.