• Sábado 20 de Abril de 2024, 10:50

Mostrar Mensajes

Esta sección te permite ver todos los posts escritos por este usuario. Ten en cuenta que sólo puedes ver los posts escritos en zonas a las que tienes acceso en este momento.


Temas - pascualsol

Páginas: [1]
1
Lenguaje de Descripción de Hardware VHDL / separar numeros de una bariable
« en: Jueves 28 de Abril de 2011, 19:44 »
hola señores pues estoy con este pequeño problemita y nesesito una manita plissssss
resurta que soy un novato en esto de vhdl. se un poco de visual basic  
la preguntas es   cual seria  la funcion    (mid) en vhdl
o si hay algun codigo que pueda  separar una variable  y entrarlas por separado en otra variable
EJEMPLO.



library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;

entity entry_unit is
Port (
end entry_unit;      


architecture Behavioral of entry_unit is
begin
   process(clk,rst)
   variable NUN : integer range 0 to 255;
   variable NUMERO1 : integer range 0 to 255;
   variable NUMERO2 : integer range 0 to 255;
   variable NUMERO3 : integer range 0 to 255;
   begin
     
   NUN:=123;    
   SEPARAR LOS 3 NUMERO QUE CONTIENE (NUN) Y ENTRARLAS EN LAS TRES VARIABLES
   
Y EL RESURTADO SEA ESTE
   NUMERO1:= 1  
   NUMERO2:= 2  
   NUMERO3:= 3  



   end process;
end Behavioral;

pero no tengo ni idea de como se ase eso en vhdl si alguien save mas que yo  seria un alivio

Páginas: [1]