• Viernes 29 de Marzo de 2024, 02:10

Autor Tema:  Registro Paralelo-serie  (Leído 4715 veces)

zainzeus

  • Nuevo Miembro
  • *
  • Mensajes: 3
    • Ver Perfil
Registro Paralelo-serie
« en: Lunes 26 de Noviembre de 2007, 01:03 »
0
Alguien tiene alguna idea de como programar un registro paralelo serie? consegui el siguiente codigo pero no se como usarlo. Se supone que es un ejemplo basico... y si, si se lo que hace pero no se como puedo aplicarlo a lo que necestio

Código: Text
  1.  
  2. library IEEE;
  3. use IEEE.std_logic_1164.all;
  4.  
  5. entity movregl is      
  6.   port(
  7.   RST: in std_logic;
  8.   CLK: in std_logic;
  9.   R: in std_logic;
  10.   Q: out std_logic
  11.   );
  12. end movregl;
  13.  
  14. architecture movregl of movregl is
  15. signal Qp,Qn: std_logic_vector(7 downto 0);
  16. begin                  
  17.   combinacional: process(Qp,R)
  18.   begin
  19.     Qn(7)<=R;
  20.     for i in 6 downto 0 loop
  21.       Qn(i)<= Qp(i+1);
  22.     end loop;
  23.     Q<=Qp(0);
  24.   end process combinacional;
  25.  
  26.   secuencial: process(rst,clk)
  27.   begin
  28.     if (RST='0') then
  29.       Qp<=(others=>'0');
  30.     elsif(clk' event and clk='1') then
  31.       Qp<=Qn;
  32.     end if;
  33.     end process secuencial;
  34. end movregl;
  35.  
  36.  

Alguna sugerencia??