Bueno, ya he logrado hacerlo por mi propia cuenta, por si a alguien le interesa saber cual sería el código, pues bién, sería el siguiente:
Entity PISO is
port(trama:in std_logic_vector(9 downto 0);
reset, clk, load, enable:in std_logic;
TxD:out std_logic
);
end PISO;
Architecture ARC_PISO of PISO is
begin
signal S: std_logic_vector(9 downto 0);
process(trama,reset,clk,load,enable)
begin
if reset='1' then
TxD='0';
elsif enable = '0' then
if (clk='1' and clk'event) then
if load='1' then
TxD <= S(0) & S(9 downto 0);
endif;
endif;
endif;
end process;
end ARC_PISO;