• Viernes 29 de Marzo de 2024, 16:43

Autor Tema:  Lpm-ram-dq De 2048x1  (Leído 1639 veces)

eboiero

  • Nuevo Miembro
  • *
  • Mensajes: 1
    • Ver Perfil
Lpm-ram-dq De 2048x1
« en: Jueves 6 de Abril de 2006, 04:14 »
0
Hola a todos. Quisiera generar un registro de 2048 x 1 en una FLEX10K10 instanciando el módulo de librería lpm_ram_dq. Para ello debo conectar el puertos data[] a un std_logic_vector pero yo solo tengo definido mi puerto de entrada como un std_logic, justanmente porque es un registro de 1 bit por cada dirección y me resulta un error por esa incompatibilidad. Alguna idea. Gracias