• Jueves 28 de Marzo de 2024, 11:23

Mostrar Mensajes

Esta sección te permite ver todos los posts escritos por este usuario. Ten en cuenta que sólo puedes ver los posts escritos en zonas a las que tienes acceso en este momento.


Mensajes - darkangel20

Páginas: [1]
1
Lenguaje de Descripción de Hardware VHDL / Re: Convertir Señales A Integer
« en: Lunes 13 de Febrero de 2006, 16:10 »
Pues muchas gracias por la repsuesta Geo... Mas vale tarde q nunca, un saludo y gracias de nuevo

dark :lightsabre:

2
Lenguaje de Descripción de Hardware VHDL / Re: Convertir Señales A Integer
« en: Lunes 28 de Noviembre de 2005, 19:26 »
Hola.

Lo primero de todo dar las gracias tanto a los creadores de este foro como a los participantes del mismo, por ayudar a sacar adelante paginas como estas en las q se ayuda a la gente con problemillas q puedan tener y donde se aprenden tantas cosas.

Yo queria exponer una duda, asiq halla voy.

Tengo el Max Plus II v10.2 y me gustaria saber si e sposible convertir el numero en binario representado por una entrada std_logic_vector en un numero entero (variable INTEGER). Si es posible ¿Como se hace? Si no lo es, ¿alguien podria ayudarm o decirme alguna manera de acerlo?

Muchas gracias con antelacion

Un saludo a tod@s

Dark  :lightsabre:

Páginas: [1]