• Jueves 25 de Abril de 2024, 21:01

Autor Tema:  Vhdl En Mac Os X  (Leído 2999 veces)

Gollum

  • Nuevo Miembro
  • *
  • Mensajes: 1
    • Ver Perfil
Vhdl En Mac Os X
« en: Viernes 9 de Mayo de 2008, 21:15 »
0
Hola, tengo un mac os x. Necesito programar en vhdl, he visto en foros de 2005 que existen formas de emular el modelsim en este sistema operativo, sin embargo no he encontrado como hacerlo.
Si existe la posibilidad de instalar modelsim en mi portartil (Mac os X, intel) sería perfecto, da igual si tengo que hacerlo mediante un emulador, siempre y cuando funcione bien. Si no me gustaría que me recomendarais algún otro programa para instalar.
Necesito entregar unas prácticas el 19-5-08 y sería genial poder trabajar en casa en vez de tirarme horas y horas en la facultad.
Muchas gracias.

P.D: no se si tego que publicarlo aquí o en el foro de Sistemas operativos. Perdon :unsure: