• Jueves 28 de Marzo de 2024, 09:21

Autor Tema:  Compilador De Vhdl  (Leído 10756 veces)

© Jonathan ©

  • Moderador
  • ******
  • Mensajes: 1671
  • Nacionalidad: ar
    • Ver Perfil
    • http://www.einstec.com.ar
Compilador De Vhdl
« en: Martes 22 de Febrero de 2005, 22:31 »
0
- Holas, quisiera pedir a los que tengan experiencia en el lenguaje, que me recomiende algun compilador simple y liviano para empezar a hacer pruebas con codigos, como para tomarle el gusto a este potente lenguaje. Por ahi muchos dicen que el MAX PLUS II es lo bueno para empezar, pero tengo varias dudas. Espero sus recomendaciones o comentarios acerca de lo que vosotros usáis. Gracias y Saludos! ;)
EINSTEC Tecnología «La única fuente del conocimiento es la experiencia.»

«Lo importante es no dejar de hacerse preguntas.»

© Jonathan ©

  • Moderador
  • ******
  • Mensajes: 1671
  • Nacionalidad: ar
    • Ver Perfil
    • http://www.einstec.com.ar
Re: Compilador De Vhdl
« Respuesta #1 en: Viernes 25 de Febrero de 2005, 01:04 »
0
- Voy a probar el VHDL Studio 14-Day Evaluation, es de solo 14 dias :(, pero haber si axiste algo para prolongar su vida. Despues de pruebas les paso el comentario. Saludos :)
EINSTEC Tecnología «La única fuente del conocimiento es la experiencia.»

«Lo importante es no dejar de hacerse preguntas.»

© Jonathan ©

  • Moderador
  • ******
  • Mensajes: 1671
  • Nacionalidad: ar
    • Ver Perfil
    • http://www.einstec.com.ar
Re: Compilador De Vhdl
« Respuesta #2 en: Viernes 25 de Febrero de 2005, 01:26 »
0
- Probe el programa, aparte de ser imposible prolongar el tiempo de duracion evitando tener que registrarse una tras otra vez, no posee librerias para compilar y comenzar la simulacion. Muy linda interface, pero no dan la posibilidad de probar y aprender :(. Suerte !
EINSTEC Tecnología «La única fuente del conocimiento es la experiencia.»

«Lo importante es no dejar de hacerse preguntas.»

Platypus

  • Miembro activo
  • **
  • Mensajes: 63
    • Ver Perfil
Re: Compilador De Vhdl
« Respuesta #3 en: Lunes 28 de Febrero de 2005, 00:41 »
0
Hola Jonathan,  :)

el primer entorno que utilicé fue el V-System que permite fácilmente realizar la simulación funcional de diseños VHDL aunque he estado buscando un rato algún sitio para descargarlo y no lo he encontrado, no puedo hacer comentarios acerca del VHDL Studio o el MAX PLUS II, no los he trabajado, pero creo que es interesante utilizar los entornos relacionados con el fabricante con el que creas que vas a trabajar más adelante. Seguramente será de Altera o Xilinx porque son los más fuertes del mercado y permiten hacer simulaciones tanto funcionales como temporales para sus respectivas tecnologías.

Estaré atento a tus comentarios.

Un saludo.  :D

© Jonathan ©

  • Moderador
  • ******
  • Mensajes: 1671
  • Nacionalidad: ar
    • Ver Perfil
    • http://www.einstec.com.ar
Re: Compilador De Vhdl
« Respuesta #4 en: Lunes 28 de Febrero de 2005, 12:46 »
0
Hola Platypus, bueno, ya he mirado bien el MAX PLUS II de Altera y esta bueno, es medio raro todo esto para mi ya que nunca he hecho un codigo propio en VHDL, aun estoy analizando el tema de las entidades y estructuras, te he buscado en el chat para consultarte unas dudas. En fin, el Soft es muy bueno, todo detallado y facil de usar. Ahora solo resta aprender a programar y comenzar con las simulaciones. Saludos!!! :hola: ;)
EINSTEC Tecnología «La única fuente del conocimiento es la experiencia.»

«Lo importante es no dejar de hacerse preguntas.»

Platypus

  • Miembro activo
  • **
  • Mensajes: 63
    • Ver Perfil
Re: Compilador De Vhdl
« Respuesta #5 en: Martes 1 de Marzo de 2005, 21:24 »
0
Hola © Jonathan ©,  :hola:

la verdad es que ultimamente he estado un poco liadillo, aunque normalmente es mi situación.

No te preocupes por la complejidad del VHDL, cuando lo pillas es como todos y el entorno que utilices es indiferente porque el flujo de desarrollo es sistemático, repetitivo, siempre se siguen una serie de pasos muy concretos. Tal vez debieras empezar con algo muy sencillito como es la descripción de una simple puerta lógica como es una AND y hacer una simulación funcional para ver el resultado y comprobar que realmente todo funciona (tal vez ya lo hayas hecho). No creo que sea conveniente empezar por diseños comlejos porque puedes acabar desesperado.  :angry:

Estaremos en contacto. Un saludo.  :)

jrsmith

  • Miembro MUY activo
  • ***
  • Mensajes: 127
    • Ver Perfil
Re: Compilador De Vhdl
« Respuesta #6 en: Martes 25 de Octubre de 2005, 23:44 »
0
si quieres algo liviao podrias probar el GALaxy con el NOVA eso son los que yo usaba para programar los GAL es bueno y muy sencillo
Most people are so ungrateful to be alive, but not you, not any more...


     
PUMA DE CORAZON Y HASTA LA MUERTE

© Jonathan ©

  • Moderador
  • ******
  • Mensajes: 1671
  • Nacionalidad: ar
    • Ver Perfil
    • http://www.einstec.com.ar
Re: Compilador De Vhdl
« Respuesta #7 en: Sábado 29 de Octubre de 2005, 13:04 »
0
Cita de: "jrsmith"
si quieres algo liviao podrias probar el GALaxy con el NOVA eso son los que yo usaba para programar los GAL es bueno y muy sencillo
Gracias, lo voy a tener en cuenta, no puedo profundizar mucho en el VHDL por los estudios :( .. pero ni bien aflojen le entro de lleno. Saludos :)
EINSTEC Tecnología «La única fuente del conocimiento es la experiencia.»

«Lo importante es no dejar de hacerse preguntas.»

jrsmith

  • Miembro MUY activo
  • ***
  • Mensajes: 127
    • Ver Perfil
Re: Compilador De Vhdl
« Respuesta #8 en: Sábado 29 de Octubre de 2005, 16:30 »
0
:comp:   Creo que por ahi lo tengo los programas los busco y si los encuentro con mucho gusto te los paso
Most people are so ungrateful to be alive, but not you, not any more...


     
PUMA DE CORAZON Y HASTA LA MUERTE

Geo

  • Miembro de PLATA
  • *****
  • Mensajes: 1011
  • Nacionalidad: mx
    • Ver Perfil
    • http://hsblog.mexchip.com
Re: Compilador De Vhdl
« Respuesta #9 en: Domingo 12 de Febrero de 2006, 05:42 »
0
Yo ahorita tengo instalado el Simili Sonata 3.0, versión gratuita. En clase nos han comentado que estaremos usando el ISE Webpack de Xilinx (muy probablemente usaremos un FPGA Spartan 3 de esta empresa), aún lo tengo que descargar para poder checarlo, pero debe estar mucho muy completo tan solo por el tamaño del archivo :P.

También, le tengo que echar un ojo al MaxPlus pues recién me pasaron unos apuntes sobre VHDL en los que usan este programa, a ver qué tal :).

Saludos,
José Jorge (Geo).
La imaginación es el límite.
Hardware & Software | Mexchip

gutikh

  • Nuevo Miembro
  • *
  • Mensajes: 1
    • Ver Perfil
Re: Compilador De Vhdl
« Respuesta #10 en: Lunes 3 de Diciembre de 2007, 23:00 »
0
yo en la universidad uso ModelSim y está bastante bien.
En su web www.model.com, te puedes bajar la versión de estudiante con licencia y todo, que te dará de sobras para lo que quieras hacer