SoloCodigo

Programación Específica => Otros temas específicos => Lenguaje de Descripción de Hardware VHDL => Mensaje iniciado por: Geo en Miércoles 8 de Marzo de 2006, 03:14

Título: Ghdl Y Gtkwave
Publicado por: Geo en Miércoles 8 de Marzo de 2006, 03:14
Qué tal, estoy tratando de hacer funcionar ghdl y gtkwave para simular algunos ejemplos de VHDL en Linux, hasta ahora ghdl parece no darme problemas pues compilo sin errores, sin embargo, al intentar visualizar las formas de onda del testbench con gtkwave no me muestra nada en el archivo exportado desde la ejecución de ghdl, ¿alguien ha usado estas herramientas? Ojalá pudieran pasarme alguna referencia o guía :).

Saludos,
José Jorge (Geo).
Título: Re: Ghdl Y Gtkwave
Publicado por: Geo en Lunes 3 de Abril de 2006, 22:50
Ya he conseguido trabajar con estas herramientas, para quien guste les paso un enlace:

http://jenriquez.webpal.info/?q=node/8 (http://jenriquez.webpal.info/?q=node/8)

El problema es que no hay herramientas para síntesis, al parecer solo el Webpack de Xilinx pero sólo cuentan con un paquete para RedHat (rpm), supongo que habrá forma de hacerlo trabajar en Ubuntu (la distribución que uso) o, en su defecto, probar con algún emulador/implementación de Windows/WinAPI.

Saludos,
José Jorge (Geo).