SoloCodigo

Programación Específica => Otros temas específicos => Lenguaje de Descripción de Hardware VHDL => Mensaje iniciado por: darkangel20 en Lunes 28 de Noviembre de 2005, 19:26

Título: Re: Convertir Señales A Integer
Publicado por: darkangel20 en Lunes 28 de Noviembre de 2005, 19:26
Hola.

Lo primero de todo dar las gracias tanto a los creadores de este foro como a los participantes del mismo, por ayudar a sacar adelante paginas como estas en las q se ayuda a la gente con problemillas q puedan tener y donde se aprenden tantas cosas.

Yo queria exponer una duda, asiq halla voy.

Tengo el Max Plus II v10.2 y me gustaria saber si e sposible convertir el numero en binario representado por una entrada std_logic_vector en un numero entero (variable INTEGER). Si es posible ¿Como se hace? Si no lo es, ¿alguien podria ayudarm o decirme alguna manera de acerlo?

Muchas gracias con antelacion

Un saludo a tod@s

Dark  :lightsabre:
Título: Re: Convertir Señales A Integer
Publicado por: Geo en Domingo 12 de Febrero de 2006, 05:17
Usa los tipos signed o unsigned.
Permiten manejar un elemento como un grupo de bits y también como un número entero. En la biblioteca estándar están sobrecargados los operadores + - para funcionar adecuadamente con estos tipos.

Para usarlos, creo (más tarde confirmo) que debes incluir la sentencia

use ieee.numeric_bit.all;

Saludos,
José Jorge (Geo).
Título: Re: Convertir Señales A Integer
Publicado por: darkangel20 en Lunes 13 de Febrero de 2006, 16:10
Pues muchas gracias por la repsuesta Geo... Mas vale tarde q nunca, un saludo y gracias de nuevo

dark :lightsabre: